1,886 research outputs found

    The NSR processor prototype

    Get PDF
    technical reportThe NSR Non Synchronous RISC processor is a general purpose processor structured as a collection of self timed units that operate concurrently and communicate over bundled data channels in the style of micropipelines?? These units correspond to standard synchronous pipeline stages such as Instruction Fetch Instruction Decode Execute Memory Interface and Register File but each operates concurrently as a separate self timed process?? In addition to being internally self timed the units are decoupled through self timed FIFO queues between each of the units which allows a high degree of overlap in instruction execu tion?? Branches jumps and memory accesses are also decoupled through the use of additional FIFO queues which can hide the execution latency of these instructions?? The prototype im plementation of the NSR has been constructed using Actel FPGAs Field Programmable Gate Arrays ?

    The NSR processor prototype

    Get PDF
    Journal ArticleThe NSR (Non-Synchronous RISC) processor is a general purpose processor structured as a collection of self-timed units that operate concurrently and communicate over bundled data channels in the style of micropipelines. These units correspond to standard synchronous pipeline stages such as Instruction Fetch, Instruction Decode, Execute, Memory Interface, and Register File, but each operates concurrently as a separate self-timed process. In addition to being internally self-timed, the units are decoupled through self-timedFIFO queues between each of the units which allows a high degree of overlap in instruction execution. Branches, jumps, and memory accesses are also decoupled through the use of additional FIFO queues which can hide the execution latency of these instructions. The prototype implementation of the NSR has been constructed using Actel FPGAs (Field Programmable Gate Arrays)

    Fred: an architecture for a self-timed decoupled computer

    Get PDF
    Journal ArticleDecoupled computer architectures provide an effective means of exploiting instruction level parallelism. Self-timed micropipeline systems are inherently decoupled due to the elastic nature of the basic FIFO structure, and may be ideally suited for constructing decoupled computer architectures. Fred is a self-timed decoupled, pipelined computer architecture based on micropipelines. We present the architecture of Fred, with specific details on a micropipelined implementation that includes support for multiple functional units and out-of- order instruction completion due to the self-timed decoupling

    Precise exception handling for a self-timed processor

    Get PDF
    Journal ArticleSelf-timed systems structured as multiple concurrent processes and communicating through self-timed queues are a convenient way to implement decoupled computer architectures. Machines of this type can exploit instruction level parallelism in a natural way, and can be easily modified and extended. However, providing a precise exception model for a self-timed micropipelined processor can be difficult, since the processor state does not change at uniformly discrete intervals. We present a precise exception method implemented for Fred, a self-timed, decoupled, pipelined computer architecture with out-of-order instruction completion

    Book Reviews

    Get PDF
    Reviews of the following books: Women of Maine by Lee Agger; Nathaniel Hawthorne in His Times by James R. Mellow; In English Ways: The Movement of Societies and the Transferal of English Local Law and Customs to Massachusetts Bay in the Seventeenth Century by David Grayson Allen

    Genetic recombination and complementation between bacteriophage T7 and cloned fragments of T7 DNA

    Get PDF
    Fragments of phage T7 DNA have been cloned in Escherichia coli by using the plasmid pMB9. Such cloned fragments are able to recombine with infecting phages, thus providing a means to integrate the physical and genetic maps of T7 DNA. Approximately 65% of the T7 DNA molecule has been found in clones so far, and analysis of these clones has mapped genes 12-17 with an accuracy of about 1% the total length of T7 DNA. At least some cloned segments can supply T7 functions to infecting phages

    Recent moored current meter and SOFAR float observations in the eastern Atlantic near 32N

    Get PDF
    Basic flow statistics from the two-year deployment of a mooring in the vicinity of 32N and 24W are presented, along with intercomparisons between SOFAR float results concurrent with the first year of moored instrument data. Current-temperature meters were deployed in the main thermocline (∼500 m depth), in Mediterranean Water (1000–1100 m depth) along with the SOFAR floats, and at an abyssal (∼3000 m) level. The float and current meter averages over a common time interval are at least roughly the same, with eddy field intercomparisons being better than those for mean flow. Strong year-to-year variability in the time-averaged flow and eddy statistics at thermocline depths is observed. The two-year based eddy kinetic energies (KE) are about the same as found using a variety of data taken nearby, whereas zonal mean speeds exhibit strong, comparatively short horizontal scale variability. It is pointed out that all measurements available exhibit a significant (perhaps dominant) but relatively unexplored interannual variability, not yet explicitly connected to variations in the gyre-scale circulation. Frequency distributions of KE are peaked at the (temporal) mesoscale at abyssal depth, and KE increases with increasing period in the thermocline. The distribution of KE with frequency at thermocline depths is also temporally inhomogeneous, although not at mesoscale and shorter periods. Meridonal frequency distributions of KE are peaked at the mesoscale and zonal distributions are more “red.” The eddy field characteristics at this site are shown to be similar to those from other low energy regions in the North Atlantic and the North Pacific
    corecore